Commit e18857ac98aefdba01403588c554a83fb7f243dd
1 parent
065557a7
ajout rapport Word PDF
Showing
6 changed files
with
25 additions
and
22 deletions
Show diff stats
7Segment_display/README.md
... | ... | @@ -156,7 +156,7 @@ end display; |
156 | 156 | end process; |
157 | 157 | ``` |
158 | 158 | |
159 | - Ce premier processus permet à chaque tick de clock + diviseur d'afficher un chiffre sur le premier afficheur. Au prochain tick sur le second puis le 3ème et enfin le 4ème. Ensuite l'algorithme boucle. | |
159 | + Ce premier process permet à chaque tick de clock + diviseur d'afficher un chiffre sur le premier afficheur. Au prochain tick sur le second puis le 3ème et enfin le 4ème. Ensuite l'algorithme boucle. | |
160 | 160 | |
161 | 161 | > le diviseur (clk_enable) ralentit la cadence de switch entre afficheur. Si l'on ne divise du fait de la vitesse les chiffres ne s'affichent pas correctement. |
162 | 162 | |
... | ... | @@ -205,9 +205,9 @@ end display; |
205 | 205 | ``` |
206 | 206 | |
207 | 207 | Ce processus permet de compter avec un diviseur de la clock de base. |
208 | - Lorsque le chiffre tout à droite et à 9 et qu'il doit être incrémenter alors il passe à 0. Si le chiffre à sa gauche n'est pas à 9 alors il est incrémenter sinon il passe à 0 et on regarde le chiffre de gauche en suivant le même algorithme. | |
208 | + Lorsque le chiffre tout à droite et à 9 et qu'il doit être incrémenté alors il passe à 0. Si le chiffre à sa gauche n'est pas à 9 alors il est incrémenter sinon il passe à 0 et on regarde le chiffre de gauche en suivant le même algorithme. | |
209 | 209 | |
210 | - Si on a 9999 ou un 1 logique sur reset alors tout les chiffres repassent à 0. | |
210 | + Si on a 9999 ou un 1 logique sur reset alors tous les chiffres repassent à 0. | |
211 | 211 | |
212 | 212 | ## Résultats |
213 | 213 | |
... | ... | @@ -219,6 +219,6 @@ end display; |
219 | 219 | |
220 | 220 | ![img2](img2.jpg) |
221 | 221 | |
222 | -- Enfin il a fallu implémanter un simple algorithme pour le compteur. | |
222 | +- Enfin il a fallu implémenter un simple algorithme pour le compteur. | |
223 | 223 | |
224 | 224 | ![counter](counter.gif) | ... | ... |
No preview for this file type
No preview for this file type
Vga_display/README.md
1 | -# 7 Segment display | |
1 | +# Afficheur VGA | |
2 | 2 | |
3 | 3 | 1. But du projet |
4 | 4 | 1. I/O utilisées |
... | ... | @@ -11,6 +11,7 @@ |
11 | 11 | Nous avons eu comme projet d'afficher différentes choses sur un écran en passant par son port VGA. |
12 | 12 | ## I/O utilisées |
13 | 13 | Tout d'abord, voyons les entrées/sortie que nous avons utilisées. |
14 | + | |
14 | 15 | ``` |
15 | 16 | entity vga_controller is |
16 | 17 | Port (clk_fpga : in std_logic; |
... | ... | @@ -21,13 +22,15 @@ entity vga_controller is |
21 | 22 | Hsync : out std_logic; |
22 | 23 | Vsync : out std_logic); |
23 | 24 | end vga_controller; |
25 | +``` | |
24 | 26 | - clk_fpga : le signal de clock du fpga |
25 | 27 | - sw : interrupteurs pour commander les couleurs |
26 | 28 | - vgaRed/Green/Blue : permet de commander la couleur à afficher |
27 | 29 | - Hsyn : synchronisation horizontale |
28 | 30 | - Vsyn : synchronisation verticale |
29 | -``` | |
31 | + | |
30 | 32 | Dans le fichier de contrainte : |
33 | +Cela permet associer les interrupteurs au tableau sw. | |
31 | 34 | ``` |
32 | 35 | set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports {sw[0]}] |
33 | 36 | set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports {sw[1]}] |
... | ... | @@ -46,8 +49,7 @@ set_property -dict { PACKAGE_PIN U1 IOSTANDARD LVCMOS33 } [get_ports {sw[13]} |
46 | 49 | set_property -dict { PACKAGE_PIN T1 IOSTANDARD LVCMOS33 } [get_ports {sw[14]}] |
47 | 50 | set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports {sw[14]}] |
48 | 51 | ``` |
49 | -Cela permet associer les interrupteurs au tableau sw. | |
50 | -Dans le fichier de contrainte : | |
52 | +On associe tous les pins du connecteur VGA avec nos signaux pour gerer l'affichage | |
51 | 53 | ``` |
52 | 54 | set_property -dict { PACKAGE_PIN G19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[0]}] |
53 | 55 | set_property -dict { PACKAGE_PIN H19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[1]}] |
... | ... | @@ -64,9 +66,9 @@ set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports {vgaGree |
64 | 66 | set_property -dict { PACKAGE_PIN P19 IOSTANDARD LVCMOS33 } [get_ports Hsync] |
65 | 67 | set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports Vsync] |
66 | 68 | ``` |
67 | -On associe tout les pins du connecteur VGA avec nos signaux | |
69 | + | |
68 | 70 | ## Explication de l'algorithme |
69 | -Pour que le code soit compréhensible facilement, nous avons crée plusieurs process pour les différentes utilités. | |
71 | +Pour que le code soit compréhensible facilement, nous avons créé plusieurs process pour les différentes utilités. | |
70 | 72 | ### Les signaux |
71 | 73 | ``` |
72 | 74 | signal clk_65MHz : std_logic; |
... | ... | @@ -86,7 +88,8 @@ signal pixelY: integer range 768 downto 1; |
86 | 88 | - *Limit : Permet de délimiter les coordonnées du carré |
87 | 89 | - pixel* : Désigne la coordonnée du pixel que nous affichons |
88 | 90 | ### Les process |
89 | -#### 1er process | |
91 | + | |
92 | +Ce process permet de suivre les différents signaux de synchronisation verticale et horizontale grâce à une horloge 65MHz. Les signaux suivent le graphique vu en tp. | |
90 | 93 | |
91 | 94 | ``` |
92 | 95 | process(clk_65MHz) |
... | ... | @@ -139,8 +142,7 @@ if clk_65MHz'event and clk_65MHz = '1' then |
139 | 142 | end if; |
140 | 143 | end process; |
141 | 144 | ``` |
142 | -Ce process permet de suivre les différents signaux de synchronisation verticale et horizontale grâce à une horologe 65MHz. | |
143 | -#### 2eme process | |
145 | +Ce process prends en charge l'affichage du fond de l'écran et ainsi que l'affichage du carré. En fonction de la position du carré, les couleurs vont changées avec un blanc pour le fond et la couleur selectionnée pour le carré. | |
144 | 146 | ``` |
145 | 147 | process(clk_65MHz) |
146 | 148 | -- Gestion de l'affichage |
... | ... | @@ -165,10 +167,8 @@ if clk_65MHz'event and clk_65MHz = '1' then |
165 | 167 | end if; |
166 | 168 | end process; |
167 | 169 | ``` |
168 | -Ce process prends en charge l'affichage du fond de l'écran et ainsi que l'affichage du carré. | |
169 | - | |
170 | +Ce process prend en charge l'affichage du fond de l'écran et ainsi que l'affichage du carré. En fonction de la position du carré, les couleurs vont changer avec un blanc pour le fond et la couleur sélectionnée pour le carré. | |
170 | 171 | |
171 | -#### 3eme process | |
172 | 172 | ``` |
173 | 173 | process(clk_65MHz) |
174 | 174 | begin |
... | ... | @@ -192,9 +192,9 @@ if clk_65MHz'event and clk_65MHz = '1' then |
192 | 192 | end if; |
193 | 193 | end process; |
194 | 194 | ``` |
195 | -Ce process permet de gerer le mouvement de notre carré grâce à ces coordonnées. | |
195 | +Ce process permet de gérer le mouvement de notre carré grâce à ces coordonnées. Il suit se déplace vers la droite puis dès que le carré touche le bord droit, retourne tout à gauche et est décalé vers le bas puis continue son chemin. Sinon le carré retourne à sa position initiale. | |
196 | + | |
196 | 197 | |
197 | -#### 4eme process | |
198 | 198 | ``` |
199 | 199 | process(clk_65MHz) |
200 | 200 | -- Simplification des valeurs d'écran |
... | ... | @@ -210,20 +210,23 @@ end process; |
210 | 210 | ``` |
211 | 211 | |
212 | 212 | ## Résultats |
213 | - | |
213 | +-Nous avons mis du temps pour afficher notre première image de couleur car nous n'avions pas vu que les vecteurs RGB devaient être à 0 en dehors de l'affichage à l'écran. | |
214 | 214 | -Nous avons dans un premier temps réussi à afficher un écran dont la couleur est commandée par 12 interrupteurs (4 interrupteurs par couleurs) |
215 | 215 | |
216 | 216 | ![gif1](gif1.gif) |
217 | 217 | |
218 | --Puis nous avons reussi à afficher un carré dans un coin de l'écran (toujours commandé en couleurs par les interrupteurs) | |
218 | +-Puis nous avons réussi à afficher un carré dans un coin de l'écran (toujours commandé en couleurs par les interrupteurs) | |
219 | 219 | |
220 | 220 | ![img](img.jpg) |
221 | 221 | |
222 | --Finalement avec la separation du code en process et en ajoutant des fonctionnalités, nous avons réussi à animer le carré qui se déplace de droite à gauche et revient à gauche dès qu'il touche le coin droit tout en descendant. | |
222 | +-Finalement avec la séparation du code en process et en ajoutant des fonctionnalités, nous avons réussi à animer le carré automatiquement qui se déplace de droite à gauche et revient à gauche dès qu'il touche le coin droit tout en descendant. | |
223 | 223 | |
224 | 224 | ![gif2](gif2.gif) |
225 | 225 | |
226 | - | |
226 | +-On en retient beaucoup de choses positives : | |
227 | + Ce tp nous a permis d'avoir des applications concrètes en utilisant le language VHDL et les FPGA | |
228 | + Nous avons pu nous familiariser avec la simulation et le transfert du code au FPGA | |
229 | + Cela nous a permis un compréhension plus profonde des concepts du VHDL et des FPGA. | |
227 | 230 | |
228 | 231 | |
229 | 232 | ... | ... |