Commit ac06c25b7266228f9213264a00eaa1cc86aa1ab4

Authored by csaad
1 parent bb12c4eb

ajout du travail fpga. fichier principal: essai1.SchDoc

fpga/FPGA_projet/FPGA_projet.PrjFpg 0 → 100644
... ... @@ -0,0 +1,1075 @@
  1 +[Design]
  2 +Version=1.0
  3 +HierarchyMode=0
  4 +ChannelRoomNamingStyle=0
  5 +ReleasesFolder=
  6 +ReleaseVaultGUID=
  7 +ReleaseVaultName=
  8 +ChannelDesignatorFormatString=$Component_$RoomName
  9 +ChannelRoomLevelSeperator=_
  10 +OpenOutputs=1
  11 +ArchiveProject=0
  12 +TimestampOutput=0
  13 +SeparateFolders=0
  14 +TemplateLocationPath=
  15 +PinSwapBy_Netlabel=1
  16 +PinSwapBy_Pin=1
  17 +AllowPortNetNames=0
  18 +AllowSheetEntryNetNames=1
  19 +AppendSheetNumberToLocalNets=0
  20 +NetlistSinglePinNets=0
  21 +DefaultConfiguration=Default - All Constraints
  22 +UserID=0xFFFFFFFF
  23 +DefaultPcbProtel=1
  24 +DefaultPcbPcad=0
  25 +ReorderDocumentsOnCompile=1
  26 +NameNetsHierarchically=1
  27 +PowerPortNamesTakePriority=0
  28 +PushECOToAnnotationFile=1
  29 +DItemRevisionGUID=
  30 +ReportSuppressedErrorsInMessages=0
  31 +FSMCodingStyle=eFMSDropDownList_OneProcess
  32 +FSMEncodingStyle=eFMSDropDownList_OneHot
  33 +OutputPath=
  34 +LogFolderPath=
  35 +ManagedProjectGUID=
  36 +VHDL87=0
  37 +Verilog95=0
  38 +IncludePaths=
  39 +Defines=
  40 +
  41 +[Preferences]
  42 +PrefsVaultGUID=
  43 +PrefsRevisionGUID=
  44 +
  45 +[Document1]
  46 +DocumentPath=essai1.SchDoc
  47 +AnnotationEnabled=1
  48 +AnnotateStartValue=1
  49 +AnnotationIndexControlEnabled=0
  50 +AnnotateSuffix=
  51 +AnnotateScope=All
  52 +AnnotateOrder=0
  53 +DoLibraryUpdate=1
  54 +DoDatabaseUpdate=1
  55 +ClassGenCCAutoEnabled=1
  56 +ClassGenCCAutoRoomEnabled=1
  57 +ClassGenNCAutoScope=None
  58 +DItemRevisionGUID=
  59 +GenerateClassCluster=0
  60 +DocumentUniqueId=CKQCVEYV
  61 +
  62 +[Document2]
  63 +DocumentPath=..\emission.Vhd
  64 +AnnotationEnabled=1
  65 +AnnotateStartValue=1
  66 +AnnotationIndexControlEnabled=0
  67 +AnnotateSuffix=
  68 +AnnotateScope=All
  69 +AnnotateOrder=-1
  70 +DoLibraryUpdate=1
  71 +DoDatabaseUpdate=1
  72 +ClassGenCCAutoEnabled=1
  73 +ClassGenCCAutoRoomEnabled=1
  74 +ClassGenNCAutoScope=None
  75 +DItemRevisionGUID=
  76 +GenerateClassCluster=0
  77 +DocumentUniqueId=
  78 +
  79 +[Document3]
  80 +DocumentPath=..\reception.Vhd
  81 +AnnotationEnabled=1
  82 +AnnotateStartValue=1
  83 +AnnotationIndexControlEnabled=0
  84 +AnnotateSuffix=
  85 +AnnotateScope=All
  86 +AnnotateOrder=-1
  87 +DoLibraryUpdate=1
  88 +DoDatabaseUpdate=1
  89 +ClassGenCCAutoEnabled=1
  90 +ClassGenCCAutoRoomEnabled=1
  91 +ClassGenNCAutoScope=None
  92 +DItemRevisionGUID=
  93 +GenerateClassCluster=0
  94 +DocumentUniqueId=
  95 +
  96 +[OutputGroup1]
  97 +Name=Netlist Outputs
  98 +Description=
  99 +TargetPrinter=Microsoft Print to PDF
  100 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  101 +OutputType1=CadnetixNetlist
  102 +OutputName1=Cadnetix Netlist
  103 +OutputDocumentPath1=
  104 +OutputVariantName1=
  105 +OutputDefault1=0
  106 +OutputType2=CalayNetlist
  107 +OutputName2=Calay Netlist
  108 +OutputDocumentPath2=
  109 +OutputVariantName2=
  110 +OutputDefault2=0
  111 +OutputType3=EDIF
  112 +OutputName3=EDIF for PCB
  113 +OutputDocumentPath3=
  114 +OutputVariantName3=
  115 +OutputDefault3=0
  116 +OutputType4=EESofNetlist
  117 +OutputName4=EESof Netlist
  118 +OutputDocumentPath4=
  119 +OutputVariantName4=
  120 +OutputDefault4=0
  121 +OutputType5=IntergraphNetlist
  122 +OutputName5=Intergraph Netlist
  123 +OutputDocumentPath5=
  124 +OutputVariantName5=
  125 +OutputDefault5=0
  126 +OutputType6=MentorBoardStationNetlist
  127 +OutputName6=Mentor BoardStation Netlist
  128 +OutputDocumentPath6=
  129 +OutputVariantName6=
  130 +OutputDefault6=0
  131 +OutputType7=MultiWire
  132 +OutputName7=MultiWire
  133 +OutputDocumentPath7=
  134 +OutputVariantName7=
  135 +OutputDefault7=0
  136 +OutputType8=OrCadPCB2Netlist
  137 +OutputName8=Orcad/PCB2 Netlist
  138 +OutputDocumentPath8=
  139 +OutputVariantName8=
  140 +OutputDefault8=0
  141 +OutputType9=PADSNetlist
  142 +OutputName9=PADS ASCII Netlist
  143 +OutputDocumentPath9=
  144 +OutputVariantName9=
  145 +OutputDefault9=0
  146 +OutputType10=Pcad
  147 +OutputName10=Pcad for PCB
  148 +OutputDocumentPath10=
  149 +OutputVariantName10=
  150 +OutputDefault10=0
  151 +OutputType11=PCADNetlist
  152 +OutputName11=PCAD Netlist
  153 +OutputDocumentPath11=
  154 +OutputVariantName11=
  155 +OutputDefault11=0
  156 +OutputType12=PCADnltNetlist
  157 +OutputName12=PCADnlt Netlist
  158 +OutputDocumentPath12=
  159 +OutputVariantName12=
  160 +OutputDefault12=0
  161 +OutputType13=Protel2Netlist
  162 +OutputName13=Protel2 Netlist
  163 +OutputDocumentPath13=
  164 +OutputVariantName13=
  165 +OutputDefault13=0
  166 +OutputType14=ProtelNetlist
  167 +OutputName14=Protel
  168 +OutputDocumentPath14=
  169 +OutputVariantName14=
  170 +OutputDefault14=0
  171 +OutputType15=RacalNetlist
  172 +OutputName15=Racal Netlist
  173 +OutputDocumentPath15=
  174 +OutputVariantName15=
  175 +OutputDefault15=0
  176 +OutputType16=RINFNetlist
  177 +OutputName16=RINF Netlist
  178 +OutputDocumentPath16=
  179 +OutputVariantName16=
  180 +OutputDefault16=0
  181 +OutputType17=SciCardsNetlist
  182 +OutputName17=SciCards Netlist
  183 +OutputDocumentPath17=
  184 +OutputVariantName17=
  185 +OutputDefault17=0
  186 +OutputType18=SIMetrixNetlist
  187 +OutputName18=SIMetrix
  188 +OutputDocumentPath18=
  189 +OutputVariantName18=
  190 +OutputDefault18=0
  191 +OutputType19=SIMPLISNetlist
  192 +OutputName19=SIMPLIS
  193 +OutputDocumentPath19=
  194 +OutputVariantName19=
  195 +OutputDefault19=0
  196 +OutputType20=TangoNetlist
  197 +OutputName20=Tango Netlist
  198 +OutputDocumentPath20=
  199 +OutputVariantName20=
  200 +OutputDefault20=0
  201 +OutputType21=TelesisNetlist
  202 +OutputName21=Telesis Netlist
  203 +OutputDocumentPath21=
  204 +OutputVariantName21=
  205 +OutputDefault21=0
  206 +OutputType22=Verilog
  207 +OutputName22=Verilog File
  208 +OutputDocumentPath22=
  209 +OutputVariantName22=
  210 +OutputDefault22=0
  211 +OutputType23=VHDL
  212 +OutputName23=VHDL File
  213 +OutputDocumentPath23=
  214 +OutputVariantName23=
  215 +OutputDefault23=0
  216 +OutputType24=WireListNetlist
  217 +OutputName24=WireList Netlist
  218 +OutputDocumentPath24=
  219 +OutputVariantName24=
  220 +OutputDefault24=0
  221 +OutputType25=XSpiceNetlist
  222 +OutputName25=XSpice Netlist
  223 +OutputDocumentPath25=
  224 +OutputVariantName25=
  225 +OutputDefault25=0
  226 +
  227 +[OutputGroup2]
  228 +Name=Simulator Outputs
  229 +Description=
  230 +TargetPrinter=Microsoft Print to PDF
  231 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  232 +OutputType1=AdvSimNetlist
  233 +OutputName1=Mixed Sim
  234 +OutputDocumentPath1=
  235 +OutputVariantName1=
  236 +OutputDefault1=0
  237 +OutputType2=SIMetrixSimulation
  238 +OutputName2=SIMetrix
  239 +OutputDocumentPath2=
  240 +OutputVariantName2=
  241 +OutputDefault2=0
  242 +OutputType3=SIMPLISSimulation
  243 +OutputName3=SIMPLIS
  244 +OutputDocumentPath3=
  245 +OutputVariantName3=
  246 +OutputDefault3=0
  247 +
  248 +[OutputGroup3]
  249 +Name=Documentation Outputs
  250 +Description=
  251 +TargetPrinter=Microsoft Print to PDF
  252 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  253 +OutputType1=Assembler Source Print
  254 +OutputName1=Assembler Source Prints
  255 +OutputDocumentPath1=
  256 +OutputVariantName1=
  257 +OutputDefault1=0
  258 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  259 +OutputType2=C Source Print
  260 +OutputName2=C Source Prints
  261 +OutputDocumentPath2=
  262 +OutputVariantName2=
  263 +OutputDefault2=0
  264 +PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  265 +OutputType3=C/C++ Header Print
  266 +OutputName3=C/C++ Header Prints
  267 +OutputDocumentPath3=
  268 +OutputVariantName3=
  269 +OutputDefault3=0
  270 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  271 +OutputType4=C++ Source Print
  272 +OutputName4=C++ Source Prints
  273 +OutputDocumentPath4=
  274 +OutputVariantName4=
  275 +OutputDefault4=0
  276 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  277 +OutputType5=Composite
  278 +OutputName5=Composite Drawing
  279 +OutputDocumentPath5=
  280 +OutputVariantName5=
  281 +OutputDefault5=0
  282 +PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  283 +OutputType6=FSM Print
  284 +OutputName6=FSM Prints
  285 +OutputDocumentPath6=
  286 +OutputVariantName6=
  287 +OutputDefault6=0
  288 +PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  289 +OutputType7=Logic Analyser Print
  290 +OutputName7=Logic Analyser Prints
  291 +OutputDocumentPath7=
  292 +OutputVariantName7=
  293 +OutputDefault7=0
  294 +PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  295 +OutputType8=OpenBus Print
  296 +OutputName8=OpenBus Prints
  297 +OutputDocumentPath8=
  298 +OutputVariantName8=
  299 +OutputDefault8=0
  300 +PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  301 +OutputType9=PCB 3D Print
  302 +OutputName9=PCB 3D Print
  303 +OutputDocumentPath9=
  304 +OutputVariantName9=[No Variations]
  305 +OutputDefault9=0
  306 +PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  307 +OutputType10=PCB 3D Video
  308 +OutputName10=PCB 3D Video
  309 +OutputDocumentPath10=
  310 +OutputVariantName10=[No Variations]
  311 +OutputDefault10=0
  312 +PageOptions10=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  313 +OutputType11=PCB Print
  314 +OutputName11=PCB Prints
  315 +OutputDocumentPath11=
  316 +OutputVariantName11=
  317 +OutputDefault11=0
  318 +PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  319 +OutputType12=PCBDrawing
  320 +OutputName12=Draftsman
  321 +OutputDocumentPath12=
  322 +OutputVariantName12=
  323 +OutputDefault12=0
  324 +PageOptions12=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  325 +OutputType13=PCBLIB Print
  326 +OutputName13=PCBLIB Prints
  327 +OutputDocumentPath13=
  328 +OutputVariantName13=
  329 +OutputDefault13=0
  330 +PageOptions13=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  331 +OutputType14=PDF3D
  332 +OutputName14=PDF3D
  333 +OutputDocumentPath14=
  334 +OutputVariantName14=[No Variations]
  335 +OutputDefault14=0
  336 +PageOptions14=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  337 +OutputType15=Report Print
  338 +OutputName15=Report Prints
  339 +OutputDocumentPath15=
  340 +OutputVariantName15=
  341 +OutputDefault15=0
  342 +PageOptions15=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  343 +OutputType16=Schematic Print
  344 +OutputName16=Schematic Prints
  345 +OutputDocumentPath16=
  346 +OutputVariantName16=
  347 +OutputDefault16=0
  348 +PageOptions16=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  349 +OutputType17=SimView Print
  350 +OutputName17=SimView Prints
  351 +OutputDocumentPath17=
  352 +OutputVariantName17=
  353 +OutputDefault17=0
  354 +PageOptions17=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  355 +OutputType18=Software Platform Print
  356 +OutputName18=Software Platform Prints
  357 +OutputDocumentPath18=
  358 +OutputVariantName18=
  359 +OutputDefault18=0
  360 +PageOptions18=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  361 +OutputType19=VHDL Print
  362 +OutputName19=VHDL Prints
  363 +OutputDocumentPath19=
  364 +OutputVariantName19=
  365 +OutputDefault19=0
  366 +PageOptions19=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  367 +OutputType20=Wave Print
  368 +OutputName20=Wave Prints
  369 +OutputDocumentPath20=
  370 +OutputVariantName20=
  371 +OutputDefault20=0
  372 +PageOptions20=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  373 +OutputType21=WaveSim Print
  374 +OutputName21=WaveSim Prints
  375 +OutputDocumentPath21=
  376 +OutputVariantName21=
  377 +OutputDefault21=0
  378 +PageOptions21=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  379 +
  380 +[OutputGroup4]
  381 +Name=Assembly Outputs
  382 +Description=
  383 +TargetPrinter=Microsoft Print to PDF
  384 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  385 +OutputType1=Assembly
  386 +OutputName1=Assembly Drawings
  387 +OutputDocumentPath1=
  388 +OutputVariantName1=[No Variations]
  389 +OutputDefault1=0
  390 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  391 +OutputType2=Pick Place
  392 +OutputName2=Generates pick and place files
  393 +OutputDocumentPath2=
  394 +OutputVariantName2=[No Variations]
  395 +OutputDefault2=0
  396 +OutputType3=Test Points For Assembly
  397 +OutputName3=Test Point Report
  398 +OutputDocumentPath3=
  399 +OutputVariantName3=[No Variations]
  400 +OutputDefault3=0
  401 +
  402 +[OutputGroup5]
  403 +Name=Fabrication Outputs
  404 +Description=
  405 +TargetPrinter=Microsoft Print to PDF
  406 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  407 +OutputType1=Board Stack Report
  408 +OutputName1=Report Board Stack
  409 +OutputDocumentPath1=
  410 +OutputVariantName1=
  411 +OutputDefault1=0
  412 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  413 +OutputType2=CompositeDrill
  414 +OutputName2=Composite Drill Drawing
  415 +OutputDocumentPath2=
  416 +OutputVariantName2=
  417 +OutputDefault2=0
  418 +PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  419 +OutputType3=Drill
  420 +OutputName3=Drill Drawing/Guides
  421 +OutputDocumentPath3=
  422 +OutputVariantName3=
  423 +OutputDefault3=0
  424 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  425 +OutputType4=Final
  426 +OutputName4=Final Artwork Prints
  427 +OutputDocumentPath4=
  428 +OutputVariantName4=[No Variations]
  429 +OutputDefault4=0
  430 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  431 +OutputType5=Gerber
  432 +OutputName5=Gerber Files
  433 +OutputDocumentPath5=
  434 +OutputVariantName5=[No Variations]
  435 +OutputDefault5=0
  436 +OutputType6=Gerber X2
  437 +OutputName6=Gerber X2 Files
  438 +OutputDocumentPath6=
  439 +OutputVariantName6=
  440 +OutputDefault6=0
  441 +OutputType7=IPC2581
  442 +OutputName7=IPC-2581 Files
  443 +OutputDocumentPath7=
  444 +OutputVariantName7=
  445 +OutputDefault7=0
  446 +OutputType8=Mask
  447 +OutputName8=Solder/Paste Mask Prints
  448 +OutputDocumentPath8=
  449 +OutputVariantName8=
  450 +OutputDefault8=0
  451 +PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  452 +OutputType9=NC Drill
  453 +OutputName9=NC Drill Files
  454 +OutputDocumentPath9=
  455 +OutputVariantName9=
  456 +OutputDefault9=0
  457 +OutputType10=ODB
  458 +OutputName10=ODB++ Files
  459 +OutputDocumentPath10=
  460 +OutputVariantName10=[No Variations]
  461 +OutputDefault10=0
  462 +OutputType11=Plane
  463 +OutputName11=Power-Plane Prints
  464 +OutputDocumentPath11=
  465 +OutputVariantName11=
  466 +OutputDefault11=0
  467 +PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  468 +OutputType12=Test Points
  469 +OutputName12=Test Point Report
  470 +OutputDocumentPath12=
  471 +OutputVariantName12=
  472 +OutputDefault12=0
  473 +
  474 +[OutputGroup6]
  475 +Name=Report Outputs
  476 +Description=
  477 +TargetPrinter=Microsoft Print to PDF
  478 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  479 +OutputType1=BOM_PartType
  480 +OutputName1=Bill of Materials
  481 +OutputDocumentPath1=
  482 +OutputVariantName1=[No Variations]
  483 +OutputDefault1=0
  484 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  485 +OutputType2=ComponentCrossReference
  486 +OutputName2=Component Cross Reference Report
  487 +OutputDocumentPath2=
  488 +OutputVariantName2=[No Variations]
  489 +OutputDefault2=0
  490 +OutputType3=ReportHierarchy
  491 +OutputName3=Report Project Hierarchy
  492 +OutputDocumentPath3=
  493 +OutputVariantName3=[No Variations]
  494 +OutputDefault3=0
  495 +OutputType4=Script
  496 +OutputName4=Script Output
  497 +OutputDocumentPath4=
  498 +OutputVariantName4=[No Variations]
  499 +OutputDefault4=0
  500 +OutputType5=SimpleBOM
  501 +OutputName5=Simple BOM
  502 +OutputDocumentPath5=
  503 +OutputVariantName5=[No Variations]
  504 +OutputDefault5=0
  505 +OutputType6=SinglePinNetReporter
  506 +OutputName6=Report Single Pin Nets
  507 +OutputDocumentPath6=
  508 +OutputVariantName6=[No Variations]
  509 +OutputDefault6=0
  510 +
  511 +[OutputGroup7]
  512 +Name=Other Outputs
  513 +Description=
  514 +TargetPrinter=Microsoft Print to PDF
  515 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  516 +OutputType1=Text Print
  517 +OutputName1=Text Print
  518 +OutputDocumentPath1=
  519 +OutputVariantName1=
  520 +OutputDefault1=0
  521 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  522 +OutputType2=Text Print
  523 +OutputName2=Text Print
  524 +OutputDocumentPath2=
  525 +OutputVariantName2=
  526 +OutputDefault2=0
  527 +PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  528 +OutputType3=Text Print
  529 +OutputName3=Text Print
  530 +OutputDocumentPath3=
  531 +OutputVariantName3=
  532 +OutputDefault3=0
  533 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  534 +OutputType4=Text Print
  535 +OutputName4=Text Print
  536 +OutputDocumentPath4=
  537 +OutputVariantName4=
  538 +OutputDefault4=0
  539 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  540 +OutputType5=Text Print
  541 +OutputName5=Text Print
  542 +OutputDocumentPath5=
  543 +OutputVariantName5=
  544 +OutputDefault5=0
  545 +PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  546 +OutputType6=Text Print
  547 +OutputName6=Text Print
  548 +OutputDocumentPath6=
  549 +OutputVariantName6=
  550 +OutputDefault6=0
  551 +PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  552 +OutputType7=Text Print
  553 +OutputName7=Text Print
  554 +OutputDocumentPath7=
  555 +OutputVariantName7=
  556 +OutputDefault7=0
  557 +PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  558 +OutputType8=Text Print
  559 +OutputName8=Text Print
  560 +OutputDocumentPath8=
  561 +OutputVariantName8=
  562 +OutputDefault8=0
  563 +PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  564 +OutputType9=Text Print
  565 +OutputName9=Text Print
  566 +OutputDocumentPath9=
  567 +OutputVariantName9=
  568 +OutputDefault9=0
  569 +PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  570 +OutputType10=Text Print
  571 +OutputName10=Text Print
  572 +OutputDocumentPath10=
  573 +OutputVariantName10=
  574 +OutputDefault10=0
  575 +PageOptions10=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  576 +OutputType11=Text Print
  577 +OutputName11=Text Print
  578 +OutputDocumentPath11=
  579 +OutputVariantName11=
  580 +OutputDefault11=0
  581 +PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  582 +OutputType12=Text Print
  583 +OutputName12=Text Print
  584 +OutputDocumentPath12=
  585 +OutputVariantName12=
  586 +OutputDefault12=0
  587 +PageOptions12=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  588 +OutputType13=Text Print
  589 +OutputName13=Text Print
  590 +OutputDocumentPath13=
  591 +OutputVariantName13=
  592 +OutputDefault13=0
  593 +PageOptions13=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  594 +OutputType14=Text Print
  595 +OutputName14=Text Print
  596 +OutputDocumentPath14=
  597 +OutputVariantName14=
  598 +OutputDefault14=0
  599 +PageOptions14=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  600 +OutputType15=Text Print
  601 +OutputName15=Text Print
  602 +OutputDocumentPath15=
  603 +OutputVariantName15=
  604 +OutputDefault15=0
  605 +PageOptions15=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  606 +OutputType16=Text Print
  607 +OutputName16=Text Print
  608 +OutputDocumentPath16=
  609 +OutputVariantName16=
  610 +OutputDefault16=0
  611 +PageOptions16=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  612 +OutputType17=Text Print
  613 +OutputName17=Text Print
  614 +OutputDocumentPath17=
  615 +OutputVariantName17=
  616 +OutputDefault17=0
  617 +PageOptions17=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  618 +OutputType18=Text Print
  619 +OutputName18=Text Print
  620 +OutputDocumentPath18=
  621 +OutputVariantName18=
  622 +OutputDefault18=0
  623 +PageOptions18=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  624 +OutputType19=Text Print
  625 +OutputName19=Text Print
  626 +OutputDocumentPath19=
  627 +OutputVariantName19=
  628 +OutputDefault19=0
  629 +PageOptions19=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  630 +OutputType20=Text Print
  631 +OutputName20=Text Print
  632 +OutputDocumentPath20=
  633 +OutputVariantName20=
  634 +OutputDefault20=0
  635 +PageOptions20=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  636 +OutputType21=Text Print
  637 +OutputName21=Text Print
  638 +OutputDocumentPath21=
  639 +OutputVariantName21=
  640 +OutputDefault21=0
  641 +PageOptions21=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  642 +OutputType22=Text Print
  643 +OutputName22=Text Print
  644 +OutputDocumentPath22=
  645 +OutputVariantName22=
  646 +OutputDefault22=0
  647 +PageOptions22=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  648 +OutputType23=Text Print
  649 +OutputName23=Text Print
  650 +OutputDocumentPath23=
  651 +OutputVariantName23=
  652 +OutputDefault23=0
  653 +PageOptions23=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  654 +OutputType24=Text Print
  655 +OutputName24=Text Print
  656 +OutputDocumentPath24=
  657 +OutputVariantName24=
  658 +OutputDefault24=0
  659 +PageOptions24=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  660 +OutputType25=Text Print
  661 +OutputName25=Text Print
  662 +OutputDocumentPath25=
  663 +OutputVariantName25=
  664 +OutputDefault25=0
  665 +PageOptions25=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  666 +OutputType26=Text Print
  667 +OutputName26=Text Print
  668 +OutputDocumentPath26=
  669 +OutputVariantName26=
  670 +OutputDefault26=0
  671 +PageOptions26=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  672 +OutputType27=Text Print
  673 +OutputName27=Text Print
  674 +OutputDocumentPath27=
  675 +OutputVariantName27=
  676 +OutputDefault27=0
  677 +PageOptions27=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  678 +OutputType28=Text Print
  679 +OutputName28=Text Print
  680 +OutputDocumentPath28=
  681 +OutputVariantName28=
  682 +OutputDefault28=0
  683 +PageOptions28=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  684 +OutputType29=Text Print
  685 +OutputName29=Text Print
  686 +OutputDocumentPath29=
  687 +OutputVariantName29=
  688 +OutputDefault29=0
  689 +PageOptions29=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  690 +
  691 +[OutputGroup8]
  692 +Name=Validation Outputs
  693 +Description=
  694 +TargetPrinter=Microsoft Print to PDF
  695 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  696 +OutputType1=Component states check
  697 +OutputName1=Vault's components states check
  698 +OutputDocumentPath1=
  699 +OutputVariantName1=
  700 +OutputDefault1=0
  701 +OutputType2=Configuration compliance
  702 +OutputName2=Environment configuration compliance check
  703 +OutputDocumentPath2=
  704 +OutputVariantName2=
  705 +OutputDefault2=0
  706 +OutputType3=Design Rules Check
  707 +OutputName3=Design Rules Check
  708 +OutputDocumentPath3=
  709 +OutputVariantName3=
  710 +OutputDefault3=0
  711 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  712 +OutputType4=Differences Report
  713 +OutputName4=Differences Report
  714 +OutputDocumentPath4=
  715 +OutputVariantName4=
  716 +OutputDefault4=0
  717 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  718 +OutputType5=Electrical Rules Check
  719 +OutputName5=Electrical Rules Check
  720 +OutputDocumentPath5=
  721 +OutputVariantName5=
  722 +OutputDefault5=0
  723 +PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  724 +OutputType6=Footprint Comparison Report
  725 +OutputName6=Footprint Comparison Report
  726 +OutputDocumentPath6=
  727 +OutputVariantName6=
  728 +OutputDefault6=0
  729 +
  730 +[OutputGroup9]
  731 +Name=Export Outputs
  732 +Description=
  733 +TargetPrinter=Microsoft Print to PDF
  734 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  735 +OutputType1=AutoCAD dwg/dxf PCB
  736 +OutputName1=AutoCAD dwg/dxf File PCB
  737 +OutputDocumentPath1=
  738 +OutputVariantName1=
  739 +OutputDefault1=0
  740 +OutputType2=AutoCAD dwg/dxf Schematic
  741 +OutputName2=AutoCAD dwg/dxf File Schematic
  742 +OutputDocumentPath2=
  743 +OutputVariantName2=
  744 +OutputDefault2=0
  745 +OutputType3=ExportIDF
  746 +OutputName3=Export IDF
  747 +OutputDocumentPath3=
  748 +OutputVariantName3=
  749 +OutputDefault3=0
  750 +OutputType4=ExportSTEP
  751 +OutputName4=Export STEP
  752 +OutputDocumentPath4=
  753 +OutputVariantName4=[No Variations]
  754 +OutputDefault4=0
  755 +OutputType5=NetList Sch
  756 +OutputName5=NetList Sch
  757 +OutputDocumentPath5=
  758 +OutputVariantName5=
  759 +OutputDefault5=0
  760 +
  761 +[Modification Levels]
  762 +Type1=1
  763 +Type2=1
  764 +Type3=1
  765 +Type4=1
  766 +Type5=1
  767 +Type6=1
  768 +Type7=1
  769 +Type8=1
  770 +Type9=1
  771 +Type10=1
  772 +Type11=1
  773 +Type12=1
  774 +Type13=1
  775 +Type14=1
  776 +Type15=1
  777 +Type16=1
  778 +Type17=1
  779 +Type18=1
  780 +Type19=1
  781 +Type20=1
  782 +Type21=1
  783 +Type22=1
  784 +Type23=1
  785 +Type24=1
  786 +Type25=1
  787 +Type26=1
  788 +Type27=1
  789 +Type28=1
  790 +Type29=1
  791 +Type30=1
  792 +Type31=1
  793 +Type32=1
  794 +Type33=1
  795 +Type34=1
  796 +Type35=1
  797 +Type36=1
  798 +Type37=1
  799 +Type38=1
  800 +Type39=1
  801 +Type40=1
  802 +Type41=1
  803 +Type42=1
  804 +Type43=1
  805 +Type44=1
  806 +Type45=1
  807 +Type46=1
  808 +Type47=1
  809 +Type48=1
  810 +Type49=1
  811 +Type50=1
  812 +Type51=1
  813 +Type52=1
  814 +Type53=1
  815 +Type54=1
  816 +Type55=1
  817 +Type56=1
  818 +Type57=1
  819 +Type58=1
  820 +Type59=1
  821 +Type60=1
  822 +Type61=1
  823 +Type62=1
  824 +Type63=1
  825 +Type64=1
  826 +Type65=1
  827 +Type66=1
  828 +Type67=1
  829 +Type68=1
  830 +Type69=1
  831 +Type70=1
  832 +Type71=1
  833 +Type72=1
  834 +Type73=1
  835 +Type74=1
  836 +Type75=1
  837 +Type76=1
  838 +Type77=1
  839 +Type78=1
  840 +Type79=1
  841 +Type80=1
  842 +
  843 +[Difference Levels]
  844 +Type1=1
  845 +Type2=1
  846 +Type3=1
  847 +Type4=1
  848 +Type5=1
  849 +Type6=1
  850 +Type7=1
  851 +Type8=1
  852 +Type9=1
  853 +Type10=1
  854 +Type11=1
  855 +Type12=1
  856 +Type13=1
  857 +Type14=1
  858 +Type15=1
  859 +Type16=1
  860 +Type17=1
  861 +Type18=1
  862 +Type19=1
  863 +Type20=1
  864 +Type21=1
  865 +Type22=1
  866 +Type23=1
  867 +Type24=1
  868 +Type25=1
  869 +Type26=1
  870 +Type27=1
  871 +Type28=1
  872 +Type29=1
  873 +Type30=1
  874 +Type31=1
  875 +Type32=1
  876 +Type33=1
  877 +Type34=1
  878 +Type35=1
  879 +Type36=1
  880 +Type37=1
  881 +Type38=1
  882 +Type39=1
  883 +Type40=1
  884 +Type41=1
  885 +Type42=1
  886 +Type43=1
  887 +Type44=1
  888 +Type45=1
  889 +
  890 +[Electrical Rules Check]
  891 +Type1=1
  892 +Type2=1
  893 +Type3=3
  894 +Type4=1
  895 +Type5=3
  896 +Type6=3
  897 +Type7=1
  898 +Type8=1
  899 +Type9=1
  900 +Type10=1
  901 +Type11=2
  902 +Type12=2
  903 +Type13=2
  904 +Type14=0
  905 +Type15=0
  906 +Type16=0
  907 +Type17=0
  908 +Type18=0
  909 +Type19=0
  910 +Type20=1
  911 +Type21=1
  912 +Type22=1
  913 +Type23=1
  914 +Type24=1
  915 +Type25=2
  916 +Type26=2
  917 +Type27=2
  918 +Type28=1
  919 +Type29=1
  920 +Type30=1
  921 +Type31=3
  922 +Type32=3
  923 +Type33=3
  924 +Type34=2
  925 +Type35=1
  926 +Type36=2
  927 +Type37=1
  928 +Type38=2
  929 +Type39=2
  930 +Type40=2
  931 +Type41=0
  932 +Type42=2
  933 +Type43=1
  934 +Type44=1
  935 +Type45=2
  936 +Type46=1
  937 +Type47=2
  938 +Type48=2
  939 +Type49=1
  940 +Type50=2
  941 +Type51=1
  942 +Type52=1
  943 +Type53=1
  944 +Type54=1
  945 +Type55=1
  946 +Type56=2
  947 +Type57=1
  948 +Type58=1
  949 +Type59=2
  950 +Type60=0
  951 +Type61=3
  952 +Type62=2
  953 +Type63=3
  954 +Type64=0
  955 +Type65=3
  956 +Type66=3
  957 +Type67=2
  958 +Type68=2
  959 +Type69=2
  960 +Type70=2
  961 +Type71=2
  962 +Type72=2
  963 +Type73=2
  964 +Type74=1
  965 +Type75=2
  966 +Type76=1
  967 +Type77=1
  968 +Type78=1
  969 +Type79=1
  970 +Type80=2
  971 +Type81=3
  972 +Type82=3
  973 +Type83=3
  974 +Type84=3
  975 +Type85=3
  976 +Type86=2
  977 +Type87=2
  978 +Type88=2
  979 +Type89=1
  980 +Type90=1
  981 +Type91=3
  982 +Type92=3
  983 +Type93=3
  984 +Type94=3
  985 +Type95=3
  986 +Type96=3
  987 +Type97=3
  988 +Type98=0
  989 +Type99=1
  990 +Type100=3
  991 +Type101=1
  992 +Type102=2
  993 +Type103=2
  994 +Type104=1
  995 +Type105=2
  996 +Type106=2
  997 +Type107=2
  998 +Type108=2
  999 +Type109=1
  1000 +Type110=1
  1001 +Type111=1
  1002 +
  1003 +[ERC Connection Matrix]
  1004 +L1=NNNNNNNNNNNWNNNWW
  1005 +L2=NNWNNNNWWWNWNWNWN
  1006 +L3=NWEENEEEENEWNEEWN
  1007 +L4=NNENNNWEENNWNENWN
  1008 +L5=NNNNNNNNNNNNNNNNN
  1009 +L6=NNENNNNEENNWNENWN
  1010 +L7=NNEWNNWEENNWNENWN
  1011 +L8=NWEENEENEEENNEENN
  1012 +L9=NWEENEEEENEWNEEWW
  1013 +L10=NWNNNNNENNEWNNEWN
  1014 +L11=NNENNNNEEENWNENWN
  1015 +L12=WWWWNWWNWWWNWWWNN
  1016 +L13=NNNNNNNNNNNWNNNWW
  1017 +L14=NWEENEEEENEWNEEWW
  1018 +L15=NNENNNNEEENWNENWW
  1019 +L16=WWWWNWWNWWWNWWWNW
  1020 +L17=WNNNNNNNWNNNWWWWN
  1021 +
  1022 +[Annotate]
  1023 +SortOrder=3
  1024 +SortLocation=0
  1025 +MatchParameter1=Comment
  1026 +MatchStrictly1=1
  1027 +MatchParameter2=Library Reference
  1028 +MatchStrictly2=1
  1029 +PhysicalNamingFormat=$Component_$RoomName
  1030 +GlobalIndexSortOrder=3
  1031 +GlobalIndexSortLocation=0
  1032 +
  1033 +[PrjClassGen]
  1034 +CompClassManualEnabled=0
  1035 +CompClassManualRoomEnabled=0
  1036 +NetClassAutoBusEnabled=1
  1037 +NetClassAutoCompEnabled=0
  1038 +NetClassAutoNamedHarnessEnabled=0
  1039 +NetClassManualEnabled=1
  1040 +NetClassSeparateForBusSections=0
  1041 +
  1042 +[LibraryUpdateOptions]
  1043 +SelectedOnly=0
  1044 +UpdateVariants=1
  1045 +PartTypes=0
  1046 +FullReplace=1
  1047 +UpdateDesignatorLock=1
  1048 +UpdatePartIDLock=1
  1049 +PreserveParameterLocations=1
  1050 +PreserveParameterVisibility=1
  1051 +DoGraphics=1
  1052 +DoParameters=1
  1053 +DoModels=1
  1054 +AddParameters=0
  1055 +RemoveParameters=0
  1056 +AddModels=1
  1057 +RemoveModels=1
  1058 +UpdateCurrentModels=1
  1059 +
  1060 +[DatabaseUpdateOptions]
  1061 +SelectedOnly=0
  1062 +UpdateVariants=1
  1063 +PartTypes=0
  1064 +
  1065 +[Comparison Options]
  1066 +ComparisonOptions0=Kind=Net|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1067 +ComparisonOptions1=Kind=Net Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1068 +ComparisonOptions2=Kind=Component Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1069 +ComparisonOptions3=Kind=Rule|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1070 +ComparisonOptions4=Kind=Differential Pair|MinPercent=50|MinMatch=1|ShowMatch=0|Confirm=0|UseName=0|InclAllRules=0
  1071 +ComparisonOptions5=Kind=Code Memory|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1072 +
  1073 +[Generic_VHDLSynthesis]
  1074 +SchematicNetlister=0
  1075 +
... ...
fpga/FPGA_projet/History/FPGA_projet.~(1).PrjFpg.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(1).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(10).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(11).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(12).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(13).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(2).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(3).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(4).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(5).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(6).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(7).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(8).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/History/essai1.~(9).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_projet/Project Logs for FPGA_projet/essai1 SCH ECO 5-9-2017 12-10-39 PM.LOG 0 → 100644
... ... @@ -0,0 +1,5 @@
  1 +Change Component Designator: Old Designator=U? New Designator=U1
  2 +Change Component Designator: Old Designator=U? New Designator=U2
  3 +Change Component Designator: Old Designator=U? New Designator=U3
  4 +Change Component Designator: Old Designator=U? New Designator=U4
  5 +Change Component Designator: Old Designator=U? New Designator=U5
... ...
fpga/FPGA_projet/__Previews/essai1.SchDocPreview 0 → 100644
... ... @@ -0,0 +1,14 @@
  1 +[Preview]
  2 +LargeImageOriginalSize=660000
  3 +LargeImageWidth=500
  4 +LargeImageHeight=330
  5 +LargeImage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
  6 +MediumImageOriginalSize=165000
  7 +MediumImageWidth=250
  8 +MediumImageHeight=165
  9 +MediumImage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
  10 +SmallImageOriginalSize=59400
  11 +SmallImageWidth=150
  12 +SmallImageHeight=99
  13 +SmallImage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
  14 +
... ...
fpga/FPGA_projet/essai1.SchDoc 0 → 100644
No preview for this file type
fpga/FPGA_test/FPGA_test.PrjFpg 0 → 100644
... ... @@ -0,0 +1,1041 @@
  1 +[Design]
  2 +Version=1.0
  3 +HierarchyMode=0
  4 +ChannelRoomNamingStyle=0
  5 +ReleasesFolder=
  6 +ReleaseVaultGUID=
  7 +ReleaseVaultName=
  8 +ChannelDesignatorFormatString=$Component_$RoomName
  9 +ChannelRoomLevelSeperator=_
  10 +OpenOutputs=1
  11 +ArchiveProject=0
  12 +TimestampOutput=0
  13 +SeparateFolders=0
  14 +TemplateLocationPath=
  15 +PinSwapBy_Netlabel=1
  16 +PinSwapBy_Pin=1
  17 +AllowPortNetNames=0
  18 +AllowSheetEntryNetNames=1
  19 +AppendSheetNumberToLocalNets=0
  20 +NetlistSinglePinNets=0
  21 +DefaultConfiguration=Default - All Constraints
  22 +UserID=0xFFFFFFFF
  23 +DefaultPcbProtel=1
  24 +DefaultPcbPcad=0
  25 +ReorderDocumentsOnCompile=1
  26 +NameNetsHierarchically=1
  27 +PowerPortNamesTakePriority=0
  28 +PushECOToAnnotationFile=1
  29 +DItemRevisionGUID=
  30 +ReportSuppressedErrorsInMessages=0
  31 +FSMCodingStyle=eFMSDropDownList_OneProcess
  32 +FSMEncodingStyle=eFMSDropDownList_OneHot
  33 +OutputPath=
  34 +LogFolderPath=
  35 +ManagedProjectGUID=
  36 +VHDL87=0
  37 +Verilog95=0
  38 +IncludePaths=
  39 +Defines=
  40 +
  41 +[Preferences]
  42 +PrefsVaultGUID=
  43 +PrefsRevisionGUID=
  44 +
  45 +[Document1]
  46 +DocumentPath=..\TestcapteurLed.SchDoc
  47 +AnnotationEnabled=1
  48 +AnnotateStartValue=1
  49 +AnnotationIndexControlEnabled=0
  50 +AnnotateSuffix=
  51 +AnnotateScope=All
  52 +AnnotateOrder=-1
  53 +DoLibraryUpdate=1
  54 +DoDatabaseUpdate=1
  55 +ClassGenCCAutoEnabled=1
  56 +ClassGenCCAutoRoomEnabled=1
  57 +ClassGenNCAutoScope=None
  58 +DItemRevisionGUID=
  59 +GenerateClassCluster=0
  60 +DocumentUniqueId=UKWAETXT
  61 +
  62 +[OutputGroup1]
  63 +Name=Netlist Outputs
  64 +Description=
  65 +TargetPrinter=Microsoft Print to PDF
  66 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  67 +OutputType1=CadnetixNetlist
  68 +OutputName1=Cadnetix Netlist
  69 +OutputDocumentPath1=
  70 +OutputVariantName1=
  71 +OutputDefault1=0
  72 +OutputType2=CalayNetlist
  73 +OutputName2=Calay Netlist
  74 +OutputDocumentPath2=
  75 +OutputVariantName2=
  76 +OutputDefault2=0
  77 +OutputType3=EDIF
  78 +OutputName3=EDIF for PCB
  79 +OutputDocumentPath3=
  80 +OutputVariantName3=
  81 +OutputDefault3=0
  82 +OutputType4=EESofNetlist
  83 +OutputName4=EESof Netlist
  84 +OutputDocumentPath4=
  85 +OutputVariantName4=
  86 +OutputDefault4=0
  87 +OutputType5=IntergraphNetlist
  88 +OutputName5=Intergraph Netlist
  89 +OutputDocumentPath5=
  90 +OutputVariantName5=
  91 +OutputDefault5=0
  92 +OutputType6=MentorBoardStationNetlist
  93 +OutputName6=Mentor BoardStation Netlist
  94 +OutputDocumentPath6=
  95 +OutputVariantName6=
  96 +OutputDefault6=0
  97 +OutputType7=MultiWire
  98 +OutputName7=MultiWire
  99 +OutputDocumentPath7=
  100 +OutputVariantName7=
  101 +OutputDefault7=0
  102 +OutputType8=OrCadPCB2Netlist
  103 +OutputName8=Orcad/PCB2 Netlist
  104 +OutputDocumentPath8=
  105 +OutputVariantName8=
  106 +OutputDefault8=0
  107 +OutputType9=PADSNetlist
  108 +OutputName9=PADS ASCII Netlist
  109 +OutputDocumentPath9=
  110 +OutputVariantName9=
  111 +OutputDefault9=0
  112 +OutputType10=Pcad
  113 +OutputName10=Pcad for PCB
  114 +OutputDocumentPath10=
  115 +OutputVariantName10=
  116 +OutputDefault10=0
  117 +OutputType11=PCADNetlist
  118 +OutputName11=PCAD Netlist
  119 +OutputDocumentPath11=
  120 +OutputVariantName11=
  121 +OutputDefault11=0
  122 +OutputType12=PCADnltNetlist
  123 +OutputName12=PCADnlt Netlist
  124 +OutputDocumentPath12=
  125 +OutputVariantName12=
  126 +OutputDefault12=0
  127 +OutputType13=Protel2Netlist
  128 +OutputName13=Protel2 Netlist
  129 +OutputDocumentPath13=
  130 +OutputVariantName13=
  131 +OutputDefault13=0
  132 +OutputType14=ProtelNetlist
  133 +OutputName14=Protel
  134 +OutputDocumentPath14=
  135 +OutputVariantName14=
  136 +OutputDefault14=0
  137 +OutputType15=RacalNetlist
  138 +OutputName15=Racal Netlist
  139 +OutputDocumentPath15=
  140 +OutputVariantName15=
  141 +OutputDefault15=0
  142 +OutputType16=RINFNetlist
  143 +OutputName16=RINF Netlist
  144 +OutputDocumentPath16=
  145 +OutputVariantName16=
  146 +OutputDefault16=0
  147 +OutputType17=SciCardsNetlist
  148 +OutputName17=SciCards Netlist
  149 +OutputDocumentPath17=
  150 +OutputVariantName17=
  151 +OutputDefault17=0
  152 +OutputType18=SIMetrixNetlist
  153 +OutputName18=SIMetrix
  154 +OutputDocumentPath18=
  155 +OutputVariantName18=
  156 +OutputDefault18=0
  157 +OutputType19=SIMPLISNetlist
  158 +OutputName19=SIMPLIS
  159 +OutputDocumentPath19=
  160 +OutputVariantName19=
  161 +OutputDefault19=0
  162 +OutputType20=TangoNetlist
  163 +OutputName20=Tango Netlist
  164 +OutputDocumentPath20=
  165 +OutputVariantName20=
  166 +OutputDefault20=0
  167 +OutputType21=TelesisNetlist
  168 +OutputName21=Telesis Netlist
  169 +OutputDocumentPath21=
  170 +OutputVariantName21=
  171 +OutputDefault21=0
  172 +OutputType22=Verilog
  173 +OutputName22=Verilog File
  174 +OutputDocumentPath22=
  175 +OutputVariantName22=
  176 +OutputDefault22=0
  177 +OutputType23=VHDL
  178 +OutputName23=VHDL File
  179 +OutputDocumentPath23=
  180 +OutputVariantName23=
  181 +OutputDefault23=0
  182 +OutputType24=WireListNetlist
  183 +OutputName24=WireList Netlist
  184 +OutputDocumentPath24=
  185 +OutputVariantName24=
  186 +OutputDefault24=0
  187 +OutputType25=XSpiceNetlist
  188 +OutputName25=XSpice Netlist
  189 +OutputDocumentPath25=
  190 +OutputVariantName25=
  191 +OutputDefault25=0
  192 +
  193 +[OutputGroup2]
  194 +Name=Simulator Outputs
  195 +Description=
  196 +TargetPrinter=Microsoft Print to PDF
  197 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  198 +OutputType1=AdvSimNetlist
  199 +OutputName1=Mixed Sim
  200 +OutputDocumentPath1=
  201 +OutputVariantName1=
  202 +OutputDefault1=0
  203 +OutputType2=SIMetrixSimulation
  204 +OutputName2=SIMetrix
  205 +OutputDocumentPath2=
  206 +OutputVariantName2=
  207 +OutputDefault2=0
  208 +OutputType3=SIMPLISSimulation
  209 +OutputName3=SIMPLIS
  210 +OutputDocumentPath3=
  211 +OutputVariantName3=
  212 +OutputDefault3=0
  213 +
  214 +[OutputGroup3]
  215 +Name=Documentation Outputs
  216 +Description=
  217 +TargetPrinter=Microsoft Print to PDF
  218 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  219 +OutputType1=Assembler Source Print
  220 +OutputName1=Assembler Source Prints
  221 +OutputDocumentPath1=
  222 +OutputVariantName1=
  223 +OutputDefault1=0
  224 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  225 +OutputType2=C Source Print
  226 +OutputName2=C Source Prints
  227 +OutputDocumentPath2=
  228 +OutputVariantName2=
  229 +OutputDefault2=0
  230 +PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  231 +OutputType3=C/C++ Header Print
  232 +OutputName3=C/C++ Header Prints
  233 +OutputDocumentPath3=
  234 +OutputVariantName3=
  235 +OutputDefault3=0
  236 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  237 +OutputType4=C++ Source Print
  238 +OutputName4=C++ Source Prints
  239 +OutputDocumentPath4=
  240 +OutputVariantName4=
  241 +OutputDefault4=0
  242 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  243 +OutputType5=Composite
  244 +OutputName5=Composite Drawing
  245 +OutputDocumentPath5=
  246 +OutputVariantName5=
  247 +OutputDefault5=0
  248 +PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  249 +OutputType6=FSM Print
  250 +OutputName6=FSM Prints
  251 +OutputDocumentPath6=
  252 +OutputVariantName6=
  253 +OutputDefault6=0
  254 +PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  255 +OutputType7=Logic Analyser Print
  256 +OutputName7=Logic Analyser Prints
  257 +OutputDocumentPath7=
  258 +OutputVariantName7=
  259 +OutputDefault7=0
  260 +PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  261 +OutputType8=OpenBus Print
  262 +OutputName8=OpenBus Prints
  263 +OutputDocumentPath8=
  264 +OutputVariantName8=
  265 +OutputDefault8=0
  266 +PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  267 +OutputType9=PCB 3D Print
  268 +OutputName9=PCB 3D Print
  269 +OutputDocumentPath9=
  270 +OutputVariantName9=[No Variations]
  271 +OutputDefault9=0
  272 +PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  273 +OutputType10=PCB 3D Video
  274 +OutputName10=PCB 3D Video
  275 +OutputDocumentPath10=
  276 +OutputVariantName10=[No Variations]
  277 +OutputDefault10=0
  278 +PageOptions10=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  279 +OutputType11=PCB Print
  280 +OutputName11=PCB Prints
  281 +OutputDocumentPath11=
  282 +OutputVariantName11=
  283 +OutputDefault11=0
  284 +PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  285 +OutputType12=PCBDrawing
  286 +OutputName12=Draftsman
  287 +OutputDocumentPath12=
  288 +OutputVariantName12=
  289 +OutputDefault12=0
  290 +PageOptions12=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  291 +OutputType13=PCBLIB Print
  292 +OutputName13=PCBLIB Prints
  293 +OutputDocumentPath13=
  294 +OutputVariantName13=
  295 +OutputDefault13=0
  296 +PageOptions13=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  297 +OutputType14=PDF3D
  298 +OutputName14=PDF3D
  299 +OutputDocumentPath14=
  300 +OutputVariantName14=[No Variations]
  301 +OutputDefault14=0
  302 +PageOptions14=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  303 +OutputType15=Report Print
  304 +OutputName15=Report Prints
  305 +OutputDocumentPath15=
  306 +OutputVariantName15=
  307 +OutputDefault15=0
  308 +PageOptions15=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  309 +OutputType16=Schematic Print
  310 +OutputName16=Schematic Prints
  311 +OutputDocumentPath16=
  312 +OutputVariantName16=
  313 +OutputDefault16=0
  314 +PageOptions16=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  315 +OutputType17=SimView Print
  316 +OutputName17=SimView Prints
  317 +OutputDocumentPath17=
  318 +OutputVariantName17=
  319 +OutputDefault17=0
  320 +PageOptions17=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  321 +OutputType18=Software Platform Print
  322 +OutputName18=Software Platform Prints
  323 +OutputDocumentPath18=
  324 +OutputVariantName18=
  325 +OutputDefault18=0
  326 +PageOptions18=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  327 +OutputType19=VHDL Print
  328 +OutputName19=VHDL Prints
  329 +OutputDocumentPath19=
  330 +OutputVariantName19=
  331 +OutputDefault19=0
  332 +PageOptions19=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  333 +OutputType20=Wave Print
  334 +OutputName20=Wave Prints
  335 +OutputDocumentPath20=
  336 +OutputVariantName20=
  337 +OutputDefault20=0
  338 +PageOptions20=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  339 +OutputType21=WaveSim Print
  340 +OutputName21=WaveSim Prints
  341 +OutputDocumentPath21=
  342 +OutputVariantName21=
  343 +OutputDefault21=0
  344 +PageOptions21=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  345 +
  346 +[OutputGroup4]
  347 +Name=Assembly Outputs
  348 +Description=
  349 +TargetPrinter=Microsoft Print to PDF
  350 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  351 +OutputType1=Assembly
  352 +OutputName1=Assembly Drawings
  353 +OutputDocumentPath1=
  354 +OutputVariantName1=[No Variations]
  355 +OutputDefault1=0
  356 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  357 +OutputType2=Pick Place
  358 +OutputName2=Generates pick and place files
  359 +OutputDocumentPath2=
  360 +OutputVariantName2=[No Variations]
  361 +OutputDefault2=0
  362 +OutputType3=Test Points For Assembly
  363 +OutputName3=Test Point Report
  364 +OutputDocumentPath3=
  365 +OutputVariantName3=[No Variations]
  366 +OutputDefault3=0
  367 +
  368 +[OutputGroup5]
  369 +Name=Fabrication Outputs
  370 +Description=
  371 +TargetPrinter=Microsoft Print to PDF
  372 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  373 +OutputType1=Board Stack Report
  374 +OutputName1=Report Board Stack
  375 +OutputDocumentPath1=
  376 +OutputVariantName1=
  377 +OutputDefault1=0
  378 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  379 +OutputType2=CompositeDrill
  380 +OutputName2=Composite Drill Drawing
  381 +OutputDocumentPath2=
  382 +OutputVariantName2=
  383 +OutputDefault2=0
  384 +PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  385 +OutputType3=Drill
  386 +OutputName3=Drill Drawing/Guides
  387 +OutputDocumentPath3=
  388 +OutputVariantName3=
  389 +OutputDefault3=0
  390 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  391 +OutputType4=Final
  392 +OutputName4=Final Artwork Prints
  393 +OutputDocumentPath4=
  394 +OutputVariantName4=[No Variations]
  395 +OutputDefault4=0
  396 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  397 +OutputType5=Gerber
  398 +OutputName5=Gerber Files
  399 +OutputDocumentPath5=
  400 +OutputVariantName5=[No Variations]
  401 +OutputDefault5=0
  402 +OutputType6=Gerber X2
  403 +OutputName6=Gerber X2 Files
  404 +OutputDocumentPath6=
  405 +OutputVariantName6=
  406 +OutputDefault6=0
  407 +OutputType7=IPC2581
  408 +OutputName7=IPC-2581 Files
  409 +OutputDocumentPath7=
  410 +OutputVariantName7=
  411 +OutputDefault7=0
  412 +OutputType8=Mask
  413 +OutputName8=Solder/Paste Mask Prints
  414 +OutputDocumentPath8=
  415 +OutputVariantName8=
  416 +OutputDefault8=0
  417 +PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  418 +OutputType9=NC Drill
  419 +OutputName9=NC Drill Files
  420 +OutputDocumentPath9=
  421 +OutputVariantName9=
  422 +OutputDefault9=0
  423 +OutputType10=ODB
  424 +OutputName10=ODB++ Files
  425 +OutputDocumentPath10=
  426 +OutputVariantName10=[No Variations]
  427 +OutputDefault10=0
  428 +OutputType11=Plane
  429 +OutputName11=Power-Plane Prints
  430 +OutputDocumentPath11=
  431 +OutputVariantName11=
  432 +OutputDefault11=0
  433 +PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  434 +OutputType12=Test Points
  435 +OutputName12=Test Point Report
  436 +OutputDocumentPath12=
  437 +OutputVariantName12=
  438 +OutputDefault12=0
  439 +
  440 +[OutputGroup6]
  441 +Name=Report Outputs
  442 +Description=
  443 +TargetPrinter=Microsoft Print to PDF
  444 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  445 +OutputType1=BOM_PartType
  446 +OutputName1=Bill of Materials
  447 +OutputDocumentPath1=
  448 +OutputVariantName1=[No Variations]
  449 +OutputDefault1=0
  450 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  451 +OutputType2=ComponentCrossReference
  452 +OutputName2=Component Cross Reference Report
  453 +OutputDocumentPath2=
  454 +OutputVariantName2=[No Variations]
  455 +OutputDefault2=0
  456 +OutputType3=ReportHierarchy
  457 +OutputName3=Report Project Hierarchy
  458 +OutputDocumentPath3=
  459 +OutputVariantName3=[No Variations]
  460 +OutputDefault3=0
  461 +OutputType4=Script
  462 +OutputName4=Script Output
  463 +OutputDocumentPath4=
  464 +OutputVariantName4=[No Variations]
  465 +OutputDefault4=0
  466 +OutputType5=SimpleBOM
  467 +OutputName5=Simple BOM
  468 +OutputDocumentPath5=
  469 +OutputVariantName5=[No Variations]
  470 +OutputDefault5=0
  471 +OutputType6=SinglePinNetReporter
  472 +OutputName6=Report Single Pin Nets
  473 +OutputDocumentPath6=
  474 +OutputVariantName6=[No Variations]
  475 +OutputDefault6=0
  476 +
  477 +[OutputGroup7]
  478 +Name=Other Outputs
  479 +Description=
  480 +TargetPrinter=Microsoft Print to PDF
  481 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  482 +OutputType1=Text Print
  483 +OutputName1=Text Print
  484 +OutputDocumentPath1=
  485 +OutputVariantName1=
  486 +OutputDefault1=0
  487 +PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  488 +OutputType2=Text Print
  489 +OutputName2=Text Print
  490 +OutputDocumentPath2=
  491 +OutputVariantName2=
  492 +OutputDefault2=0
  493 +PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  494 +OutputType3=Text Print
  495 +OutputName3=Text Print
  496 +OutputDocumentPath3=
  497 +OutputVariantName3=
  498 +OutputDefault3=0
  499 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  500 +OutputType4=Text Print
  501 +OutputName4=Text Print
  502 +OutputDocumentPath4=
  503 +OutputVariantName4=
  504 +OutputDefault4=0
  505 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  506 +OutputType5=Text Print
  507 +OutputName5=Text Print
  508 +OutputDocumentPath5=
  509 +OutputVariantName5=
  510 +OutputDefault5=0
  511 +PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  512 +OutputType6=Text Print
  513 +OutputName6=Text Print
  514 +OutputDocumentPath6=
  515 +OutputVariantName6=
  516 +OutputDefault6=0
  517 +PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  518 +OutputType7=Text Print
  519 +OutputName7=Text Print
  520 +OutputDocumentPath7=
  521 +OutputVariantName7=
  522 +OutputDefault7=0
  523 +PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  524 +OutputType8=Text Print
  525 +OutputName8=Text Print
  526 +OutputDocumentPath8=
  527 +OutputVariantName8=
  528 +OutputDefault8=0
  529 +PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  530 +OutputType9=Text Print
  531 +OutputName9=Text Print
  532 +OutputDocumentPath9=
  533 +OutputVariantName9=
  534 +OutputDefault9=0
  535 +PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  536 +OutputType10=Text Print
  537 +OutputName10=Text Print
  538 +OutputDocumentPath10=
  539 +OutputVariantName10=
  540 +OutputDefault10=0
  541 +PageOptions10=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  542 +OutputType11=Text Print
  543 +OutputName11=Text Print
  544 +OutputDocumentPath11=
  545 +OutputVariantName11=
  546 +OutputDefault11=0
  547 +PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  548 +OutputType12=Text Print
  549 +OutputName12=Text Print
  550 +OutputDocumentPath12=
  551 +OutputVariantName12=
  552 +OutputDefault12=0
  553 +PageOptions12=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  554 +OutputType13=Text Print
  555 +OutputName13=Text Print
  556 +OutputDocumentPath13=
  557 +OutputVariantName13=
  558 +OutputDefault13=0
  559 +PageOptions13=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  560 +OutputType14=Text Print
  561 +OutputName14=Text Print
  562 +OutputDocumentPath14=
  563 +OutputVariantName14=
  564 +OutputDefault14=0
  565 +PageOptions14=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  566 +OutputType15=Text Print
  567 +OutputName15=Text Print
  568 +OutputDocumentPath15=
  569 +OutputVariantName15=
  570 +OutputDefault15=0
  571 +PageOptions15=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  572 +OutputType16=Text Print
  573 +OutputName16=Text Print
  574 +OutputDocumentPath16=
  575 +OutputVariantName16=
  576 +OutputDefault16=0
  577 +PageOptions16=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  578 +OutputType17=Text Print
  579 +OutputName17=Text Print
  580 +OutputDocumentPath17=
  581 +OutputVariantName17=
  582 +OutputDefault17=0
  583 +PageOptions17=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  584 +OutputType18=Text Print
  585 +OutputName18=Text Print
  586 +OutputDocumentPath18=
  587 +OutputVariantName18=
  588 +OutputDefault18=0
  589 +PageOptions18=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  590 +OutputType19=Text Print
  591 +OutputName19=Text Print
  592 +OutputDocumentPath19=
  593 +OutputVariantName19=
  594 +OutputDefault19=0
  595 +PageOptions19=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  596 +OutputType20=Text Print
  597 +OutputName20=Text Print
  598 +OutputDocumentPath20=
  599 +OutputVariantName20=
  600 +OutputDefault20=0
  601 +PageOptions20=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  602 +OutputType21=Text Print
  603 +OutputName21=Text Print
  604 +OutputDocumentPath21=
  605 +OutputVariantName21=
  606 +OutputDefault21=0
  607 +PageOptions21=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  608 +OutputType22=Text Print
  609 +OutputName22=Text Print
  610 +OutputDocumentPath22=
  611 +OutputVariantName22=
  612 +OutputDefault22=0
  613 +PageOptions22=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  614 +OutputType23=Text Print
  615 +OutputName23=Text Print
  616 +OutputDocumentPath23=
  617 +OutputVariantName23=
  618 +OutputDefault23=0
  619 +PageOptions23=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  620 +OutputType24=Text Print
  621 +OutputName24=Text Print
  622 +OutputDocumentPath24=
  623 +OutputVariantName24=
  624 +OutputDefault24=0
  625 +PageOptions24=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  626 +OutputType25=Text Print
  627 +OutputName25=Text Print
  628 +OutputDocumentPath25=
  629 +OutputVariantName25=
  630 +OutputDefault25=0
  631 +PageOptions25=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  632 +OutputType26=Text Print
  633 +OutputName26=Text Print
  634 +OutputDocumentPath26=
  635 +OutputVariantName26=
  636 +OutputDefault26=0
  637 +PageOptions26=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  638 +OutputType27=Text Print
  639 +OutputName27=Text Print
  640 +OutputDocumentPath27=
  641 +OutputVariantName27=
  642 +OutputDefault27=0
  643 +PageOptions27=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  644 +OutputType28=Text Print
  645 +OutputName28=Text Print
  646 +OutputDocumentPath28=
  647 +OutputVariantName28=
  648 +OutputDefault28=0
  649 +PageOptions28=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  650 +OutputType29=Text Print
  651 +OutputName29=Text Print
  652 +OutputDocumentPath29=
  653 +OutputVariantName29=
  654 +OutputDefault29=0
  655 +PageOptions29=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  656 +
  657 +[OutputGroup8]
  658 +Name=Validation Outputs
  659 +Description=
  660 +TargetPrinter=Microsoft Print to PDF
  661 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  662 +OutputType1=Component states check
  663 +OutputName1=Vault's components states check
  664 +OutputDocumentPath1=
  665 +OutputVariantName1=
  666 +OutputDefault1=0
  667 +OutputType2=Configuration compliance
  668 +OutputName2=Environment configuration compliance check
  669 +OutputDocumentPath2=
  670 +OutputVariantName2=
  671 +OutputDefault2=0
  672 +OutputType3=Design Rules Check
  673 +OutputName3=Design Rules Check
  674 +OutputDocumentPath3=
  675 +OutputVariantName3=
  676 +OutputDefault3=0
  677 +PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  678 +OutputType4=Differences Report
  679 +OutputName4=Differences Report
  680 +OutputDocumentPath4=
  681 +OutputVariantName4=
  682 +OutputDefault4=0
  683 +PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  684 +OutputType5=Electrical Rules Check
  685 +OutputName5=Electrical Rules Check
  686 +OutputDocumentPath5=
  687 +OutputVariantName5=
  688 +OutputDefault5=0
  689 +PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
  690 +OutputType6=Footprint Comparison Report
  691 +OutputName6=Footprint Comparison Report
  692 +OutputDocumentPath6=
  693 +OutputVariantName6=
  694 +OutputDefault6=0
  695 +
  696 +[OutputGroup9]
  697 +Name=Export Outputs
  698 +Description=
  699 +TargetPrinter=Microsoft Print to PDF
  700 +PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
  701 +OutputType1=AutoCAD dwg/dxf PCB
  702 +OutputName1=AutoCAD dwg/dxf File PCB
  703 +OutputDocumentPath1=
  704 +OutputVariantName1=
  705 +OutputDefault1=0
  706 +OutputType2=AutoCAD dwg/dxf Schematic
  707 +OutputName2=AutoCAD dwg/dxf File Schematic
  708 +OutputDocumentPath2=
  709 +OutputVariantName2=
  710 +OutputDefault2=0
  711 +OutputType3=ExportIDF
  712 +OutputName3=Export IDF
  713 +OutputDocumentPath3=
  714 +OutputVariantName3=
  715 +OutputDefault3=0
  716 +OutputType4=ExportSTEP
  717 +OutputName4=Export STEP
  718 +OutputDocumentPath4=
  719 +OutputVariantName4=[No Variations]
  720 +OutputDefault4=0
  721 +OutputType5=NetList Sch
  722 +OutputName5=NetList Sch
  723 +OutputDocumentPath5=
  724 +OutputVariantName5=
  725 +OutputDefault5=0
  726 +
  727 +[Modification Levels]
  728 +Type1=1
  729 +Type2=1
  730 +Type3=1
  731 +Type4=1
  732 +Type5=1
  733 +Type6=1
  734 +Type7=1
  735 +Type8=1
  736 +Type9=1
  737 +Type10=1
  738 +Type11=1
  739 +Type12=1
  740 +Type13=1
  741 +Type14=1
  742 +Type15=1
  743 +Type16=1
  744 +Type17=1
  745 +Type18=1
  746 +Type19=1
  747 +Type20=1
  748 +Type21=1
  749 +Type22=1
  750 +Type23=1
  751 +Type24=1
  752 +Type25=1
  753 +Type26=1
  754 +Type27=1
  755 +Type28=1
  756 +Type29=1
  757 +Type30=1
  758 +Type31=1
  759 +Type32=1
  760 +Type33=1
  761 +Type34=1
  762 +Type35=1
  763 +Type36=1
  764 +Type37=1
  765 +Type38=1
  766 +Type39=1
  767 +Type40=1
  768 +Type41=1
  769 +Type42=1
  770 +Type43=1
  771 +Type44=1
  772 +Type45=1
  773 +Type46=1
  774 +Type47=1
  775 +Type48=1
  776 +Type49=1
  777 +Type50=1
  778 +Type51=1
  779 +Type52=1
  780 +Type53=1
  781 +Type54=1
  782 +Type55=1
  783 +Type56=1
  784 +Type57=1
  785 +Type58=1
  786 +Type59=1
  787 +Type60=1
  788 +Type61=1
  789 +Type62=1
  790 +Type63=1
  791 +Type64=1
  792 +Type65=1
  793 +Type66=1
  794 +Type67=1
  795 +Type68=1
  796 +Type69=1
  797 +Type70=1
  798 +Type71=1
  799 +Type72=1
  800 +Type73=1
  801 +Type74=1
  802 +Type75=1
  803 +Type76=1
  804 +Type77=1
  805 +Type78=1
  806 +Type79=1
  807 +Type80=1
  808 +
  809 +[Difference Levels]
  810 +Type1=1
  811 +Type2=1
  812 +Type3=1
  813 +Type4=1
  814 +Type5=1
  815 +Type6=1
  816 +Type7=1
  817 +Type8=1
  818 +Type9=1
  819 +Type10=1
  820 +Type11=1
  821 +Type12=1
  822 +Type13=1
  823 +Type14=1
  824 +Type15=1
  825 +Type16=1
  826 +Type17=1
  827 +Type18=1
  828 +Type19=1
  829 +Type20=1
  830 +Type21=1
  831 +Type22=1
  832 +Type23=1
  833 +Type24=1
  834 +Type25=1
  835 +Type26=1
  836 +Type27=1
  837 +Type28=1
  838 +Type29=1
  839 +Type30=1
  840 +Type31=1
  841 +Type32=1
  842 +Type33=1
  843 +Type34=1
  844 +Type35=1
  845 +Type36=1
  846 +Type37=1
  847 +Type38=1
  848 +Type39=1
  849 +Type40=1
  850 +Type41=1
  851 +Type42=1
  852 +Type43=1
  853 +Type44=1
  854 +Type45=1
  855 +
  856 +[Electrical Rules Check]
  857 +Type1=1
  858 +Type2=1
  859 +Type3=3
  860 +Type4=1
  861 +Type5=3
  862 +Type6=3
  863 +Type7=1
  864 +Type8=1
  865 +Type9=1
  866 +Type10=1
  867 +Type11=2
  868 +Type12=2
  869 +Type13=2
  870 +Type14=0
  871 +Type15=0
  872 +Type16=0
  873 +Type17=0
  874 +Type18=0
  875 +Type19=0
  876 +Type20=1
  877 +Type21=1
  878 +Type22=1
  879 +Type23=1
  880 +Type24=1
  881 +Type25=2
  882 +Type26=2
  883 +Type27=2
  884 +Type28=1
  885 +Type29=1
  886 +Type30=1
  887 +Type31=3
  888 +Type32=3
  889 +Type33=3
  890 +Type34=2
  891 +Type35=1
  892 +Type36=2
  893 +Type37=1
  894 +Type38=2
  895 +Type39=2
  896 +Type40=2
  897 +Type41=0
  898 +Type42=2
  899 +Type43=1
  900 +Type44=1
  901 +Type45=2
  902 +Type46=1
  903 +Type47=2
  904 +Type48=2
  905 +Type49=1
  906 +Type50=2
  907 +Type51=1
  908 +Type52=1
  909 +Type53=1
  910 +Type54=1
  911 +Type55=1
  912 +Type56=2
  913 +Type57=1
  914 +Type58=1
  915 +Type59=2
  916 +Type60=0
  917 +Type61=3
  918 +Type62=2
  919 +Type63=3
  920 +Type64=0
  921 +Type65=3
  922 +Type66=3
  923 +Type67=2
  924 +Type68=2
  925 +Type69=2
  926 +Type70=2
  927 +Type71=2
  928 +Type72=2
  929 +Type73=2
  930 +Type74=1
  931 +Type75=2
  932 +Type76=1
  933 +Type77=1
  934 +Type78=1
  935 +Type79=1
  936 +Type80=2
  937 +Type81=3
  938 +Type82=3
  939 +Type83=3
  940 +Type84=3
  941 +Type85=3
  942 +Type86=2
  943 +Type87=2
  944 +Type88=2
  945 +Type89=1
  946 +Type90=1
  947 +Type91=3
  948 +Type92=3
  949 +Type93=3
  950 +Type94=3
  951 +Type95=3
  952 +Type96=3
  953 +Type97=3
  954 +Type98=0
  955 +Type99=1
  956 +Type100=3
  957 +Type101=1
  958 +Type102=2
  959 +Type103=2
  960 +Type104=1
  961 +Type105=2
  962 +Type106=2
  963 +Type107=2
  964 +Type108=2
  965 +Type109=1
  966 +Type110=1
  967 +Type111=1
  968 +
  969 +[ERC Connection Matrix]
  970 +L1=NNNNNNNNNNNWNNNWW
  971 +L2=NNWNNNNWWWNWNWNWN
  972 +L3=NWEENEEEENEWNEEWN
  973 +L4=NNENNNWEENNWNENWN
  974 +L5=NNNNNNNNNNNNNNNNN
  975 +L6=NNENNNNEENNWNENWN
  976 +L7=NNEWNNWEENNWNENWN
  977 +L8=NWEENEENEEENNEENN
  978 +L9=NWEENEEEENEWNEEWW
  979 +L10=NWNNNNNENNEWNNEWN
  980 +L11=NNENNNNEEENWNENWN
  981 +L12=WWWWNWWNWWWNWWWNN
  982 +L13=NNNNNNNNNNNWNNNWW
  983 +L14=NWEENEEEENEWNEEWW
  984 +L15=NNENNNNEEENWNENWW
  985 +L16=WWWWNWWNWWWNWWWNW
  986 +L17=WNNNNNNNWNNNWWWWN
  987 +
  988 +[Annotate]
  989 +SortOrder=3
  990 +SortLocation=0
  991 +MatchParameter1=Comment
  992 +MatchStrictly1=1
  993 +MatchParameter2=Library Reference
  994 +MatchStrictly2=1
  995 +PhysicalNamingFormat=$Component_$RoomName
  996 +GlobalIndexSortOrder=3
  997 +GlobalIndexSortLocation=0
  998 +
  999 +[PrjClassGen]
  1000 +CompClassManualEnabled=0
  1001 +CompClassManualRoomEnabled=0
  1002 +NetClassAutoBusEnabled=1
  1003 +NetClassAutoCompEnabled=0
  1004 +NetClassAutoNamedHarnessEnabled=0
  1005 +NetClassManualEnabled=1
  1006 +NetClassSeparateForBusSections=0
  1007 +
  1008 +[LibraryUpdateOptions]
  1009 +SelectedOnly=0
  1010 +UpdateVariants=1
  1011 +PartTypes=0
  1012 +FullReplace=1
  1013 +UpdateDesignatorLock=1
  1014 +UpdatePartIDLock=1
  1015 +PreserveParameterLocations=1
  1016 +PreserveParameterVisibility=1
  1017 +DoGraphics=1
  1018 +DoParameters=1
  1019 +DoModels=1
  1020 +AddParameters=0
  1021 +RemoveParameters=0
  1022 +AddModels=1
  1023 +RemoveModels=1
  1024 +UpdateCurrentModels=1
  1025 +
  1026 +[DatabaseUpdateOptions]
  1027 +SelectedOnly=0
  1028 +UpdateVariants=1
  1029 +PartTypes=0
  1030 +
  1031 +[Comparison Options]
  1032 +ComparisonOptions0=Kind=Net|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1033 +ComparisonOptions1=Kind=Net Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1034 +ComparisonOptions2=Kind=Component Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1035 +ComparisonOptions3=Kind=Rule|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1036 +ComparisonOptions4=Kind=Differential Pair|MinPercent=50|MinMatch=1|ShowMatch=0|Confirm=0|UseName=0|InclAllRules=0
  1037 +ComparisonOptions5=Kind=Code Memory|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
  1038 +
  1039 +[Generic_VHDLSynthesis]
  1040 +SchematicNetlister=0
  1041 +
... ...
fpga/FPGA_test/History/756F5D68/TestcapteurLed.~(1).SchDoc.Zip 0 → 100644
No preview for this file type
fpga/FPGA_test/History/FPGA_test.~(1).PrjFpg.Zip 0 → 100644
No preview for this file type
fpga/emission.Vhd 0 → 100644
... ... @@ -0,0 +1,115 @@
  1 +----------------------------------------------------------------------------
  2 +-- horloge fonctionnement clock_fpga=50MHz
  3 +----------------------------------------------------------------------------
  4 +
  5 +
  6 +
  7 +library IEEE;
  8 +use IEEE.STD_LOGIC_1164.ALL;
  9 +use IEEE.STD_LOGIC_ARITH.ALL;
  10 +use IEEE.STD_LOGIC_UNSIGNED.ALL;
  11 +
  12 +entity emission_RS232 is
  13 +port(
  14 + clock_fpga : in std_logic;
  15 + envoie_enable :in std_logic;
  16 + TX : out std_logic;
  17 + data_envoie : in std_logic_vector(7 downto 0));
  18 +
  19 +end entity emission_RS232;
  20 +
  21 +architecture Behavioral of emission_RS232 is
  22 +
  23 +
  24 +------------------------------------------------------------------------
  25 +-- SIGNALS
  26 +------------------------------------------------------------------------
  27 +
  28 +signal horloge_envoie : std_logic :='0';
  29 +signal mot_complet : std_logic_vector(9 downto 0) :="0000000000";
  30 +signal compteur: std_logic_vector(3 downto 0):="0000";
  31 +signal compteur_baud: integer:=0;
  32 +signal horloge_enable : std_logic :='0';
  33 +signal data_bis : std_logic_vector(7 downto 0) :="00000000";
  34 +
  35 +
  36 +begin
  37 +
  38 +----------------------------------------------------------------------------
  39 +--reoganisation de la data a envoyer; bit poid faible en premier------------
  40 +
  41 + data_bis(0)<= data_envoie(7);
  42 + data_bis(1)<= data_envoie(6);
  43 + data_bis(2)<= data_envoie(5);
  44 + data_bis(3)<= data_envoie(4);
  45 + data_bis(4)<= data_envoie(3);
  46 + data_bis(5)<= data_envoie(2);
  47 + data_bis(6)<= data_envoie(1);
  48 + data_bis(7)<= data_envoie(0);
  49 +
  50 +
  51 +
  52 +----------------------------------------------------------------------------
  53 + definition_horloge_enable: process (envoie_enable,compteur)
  54 +
  55 +--mise à 1 lorsque l'on veut envoyer le mot--
  56 +--repasse à 0 à la fin de l'envoie--
  57 +
  58 + begin
  59 + if compteur = "0000" then
  60 + if (envoie_enable'event and envoie_enable='1') then
  61 + horloge_enable<='1';
  62 + end if;
  63 + else
  64 + if compteur="1010" then
  65 + horloge_enable<='0';
  66 + end if;
  67 + end if;
  68 + end process definition_horloge_enable;
  69 +
  70 + ---------------------------------------------------------------------------
  71 +
  72 +
  73 +
  74 + --envoie mot complet: ajout des bits start et stop+ envoie du mot en serie
  75 + -- avec registre à decalage----------------------------------------------
  76 +
  77 + mot_entier_envoi: process (horloge_envoie,compteur)
  78 + begin
  79 + if (horloge_envoie'event and horloge_envoie='1') then
  80 + compteur<=compteur+1;
  81 + mot_complet <= mot_complet(8 downto 0) & '1';
  82 + end if;
  83 + if compteur ="1010" then
  84 + compteur<="0000";
  85 + elsif compteur ="0000" then
  86 + mot_complet<= '0' & data_bis & '1';
  87 + else
  88 + TX <= mot_complet(9);
  89 + end if;
  90 + end process mot_entier_envoi;
  91 +
  92 +
  93 + -----------------------------------------------------------------------------
  94 + --horloge permettant le fonctionnement du registre à decalage---------------
  95 + ---------------------------------------------------------------------------
  96 +generation_horloge_envoie: process(clock_fpga)
  97 + begin
  98 + if clock_fpga'event and clock_fpga='1' then
  99 + if horloge_enable ='1' then
  100 + compteur_baud<=compteur_baud+1;
  101 + if (compteur_baud =5208 ) then
  102 + horloge_envoie<='1';
  103 + compteur_baud<=0;
  104 + else
  105 + horloge_envoie<='0';
  106 + end if;
  107 + else
  108 + horloge_envoie<='0';
  109 + end if;
  110 + end if;
  111 + end process generation_horloge_envoie;
  112 +-----------------------------------------------------------------------------
  113 +
  114 +
  115 +end behavioral;
... ...
fpga/reception.Vhd 0 → 100644
... ... @@ -0,0 +1,131 @@
  1 +----------------------------------------------------------------------------
  2 +-- horloge fonctionnement clock_fpga=50MHz
  3 +----------------------------------------------------------------------------
  4 +
  5 +library IEEE;
  6 +use IEEE.STD_LOGIC_1164.ALL;
  7 +use IEEE.STD_LOGIC_ARITH.ALL;
  8 +use IEEE.STD_LOGIC_UNSIGNED.ALL;
  9 +
  10 +entity reception_RS232 is
  11 +port(
  12 + clock_fpga : in std_logic;
  13 + RX : in std_logic;
  14 + data_ok : out std_logic;
  15 + data_recu : out std_logic_vector(7 downto 0));
  16 +
  17 +end entity reception_RS232;
  18 +
  19 +architecture Behavioral of reception_RS232 is
  20 +
  21 +
  22 +------------------------------------------------------------------------
  23 +-- SIGNALS
  24 +------------------------------------------------------------------------
  25 +
  26 +signal horloge_prelevement : std_logic :='0';
  27 +signal mot_complet : std_logic_vector(9 downto 0) :="0000000000";
  28 +signal compteur: std_logic_vector(3 downto 0):="0000";
  29 +signal compteur_baud: integer:=0;
  30 +signal prelevement_enable : std_logic :='0';
  31 +
  32 +begin
  33 +
  34 +----------------------------------------------------------------------------
  35 +--reorganisation de la data recu; bit poid faible en premier------------
  36 +
  37 +data_presente: process(prelevement_enable)
  38 + begin
  39 + if (prelevement_enable ='0') then
  40 + data_recu(7) <= mot_complet(1);
  41 + data_recu(6) <= mot_complet(2);
  42 + data_recu(5) <= mot_complet(3);
  43 + data_recu(4) <= mot_complet(4);
  44 + data_recu(3) <= mot_complet(5);
  45 + data_recu(2) <= mot_complet(6);
  46 + data_recu(1) <= mot_complet(7);
  47 + data_recu(0) <= mot_complet(8);
  48 + end if;
  49 +
  50 + end process data_presente;
  51 +
  52 +
  53 +
  54 +-----------------------------------------------------------------------------
  55 +--reception des bits dans un registre à decalage----------------
  56 +---------------------------------------------------
  57 +mot_entier_recu: process(horloge_prelevement,compteur)
  58 + begin
  59 + if horloge_prelevement'event and horloge_prelevement='1' then
  60 + compteur <= compteur + 1;
  61 + mot_complet <= mot_complet(8 downto 0) & RX;
  62 + data_ok <= '0';
  63 + end if;
  64 + if compteur ="1010" then
  65 + compteur<="0000";
  66 + data_ok <= '1';
  67 + end if;
  68 + end process mot_entier_recu;
  69 +-----------------------------------------------------------------------------
  70 +
  71 +------------------------------------------------------------------------
  72 +--horloge permettant de prelever au bon moment la data présente sur RX--
  73 +------------------------------------------------------------------------
  74 +
  75 +generation_horloge_prelevement: process(clock_fpga)
  76 + begin
  77 + if clock_fpga'event and clock_fpga='1' then
  78 + if prelevement_enable = '1' then
  79 + compteur_baud<=compteur_baud+1;
  80 + if (compteur_baud =2604 and compteur ="0000") then --Frequence/(baud/2)
  81 + horloge_prelevement<='1';
  82 + compteur_baud<=0;
  83 + else
  84 + if (compteur_baud =5208 and compteur /="0000") then --Frequence/(baud)
  85 + horloge_prelevement<='1';
  86 + compteur_baud<=0;
  87 + else
  88 + horloge_prelevement<='0';
  89 + end if;
  90 + end if;
  91 + end if;
  92 + end if;
  93 + end process generation_horloge_prelevement;
  94 +-----------------------------------------------------------------------------
  95 +
  96 +-----------------------------------------------
  97 +--mise à 1 lorsque detection bit start--
  98 +--repasse à 0 à la fin de la reception du mot--
  99 +-----------------------------------------------
  100 +
  101 +generation_prelevement_enable: process(RX,compteur)
  102 + begin
  103 + if (RX'event and RX='0') then
  104 + if compteur="0000" then
  105 + prelevement_enable<='1';
  106 + end if;
  107 + end if;
  108 + if (compteur="1010") then
  109 + prelevement_enable<='0';
  110 +
  111 + end if;
  112 + end process generation_prelevement_enable;
  113 +
  114 + -----------------------------------------------------------------------------
  115 +
  116 +
  117 +end behavioral;
  118 +
  119 +
  120 +
  121 +
  122 +
  123 +
  124 +
  125 +
  126 +
  127 +
  128 +
  129 +
  130 +
  131 +
... ...